Intel:Quartus® Prime Pro Edition の File メニュー > Create / Update 内に Create HDL Design File from Current File がありません。

Quartus Prime

Intel: NativeLink シミュレーションを実行時にエラーが発生しました。Internal error: Failed to run ip-make-simscript

Quartus Primeシミュレーション

Intel:Quartus® Prime Standard および Lite Edition 23.1 において、ALTPLL 作成中に Wizard 画面が落ちてしまいます。

CycloneMAXQuartus Primeクロック/PLL

Intel:Internal Error: Sub-system: DSPF, File: /quartus/h/shm_mdb_sys.h, Line: 468

CycloneQuartus Prime

Intel:Platform Designer のシステムに追加後のカスタム IP を 編集した場合、システム内の IP に編集は反映されますか?

Quartus Primeプラットフォーム・デザイナー

Intel: Cyclone® 10 GX トランシバーが未使用の場合、VCCR_GXB[L1][C,D] に電源を供給する必要はありますか?その場合、何ボルトを供給しますか?

CycloneQuartus Prime電源/Enpirionトランシーバー

Intel:Gen4 対応の PCIe Slot に Gen3 対応の Endpoint デバイスを挿入していますが、正常に認識されません。何が原因でしょうか?

ArriaPCI Express

Intel:Intel Agilex® 7 FPGA において F-Tile のみ実装した場合、VCCH_SDM へ接続する電源電圧は何ボルトでしょうか?

Agilex電源/Enpirion

Intel:Design Assistant 機能が選択できません。

MAXQuartus Prime

Intel:Questa* - Intel® FPGA Edition を NativeLink シミュレーションで起動しようとすると missing". Check the NativeLink log file が発生します。

Quartus Primeシミュレーション

Intel:Quartus® Prime Standard Edition の *.stp (Signal Tap Analyzer File) にある Add State Machine Nodes 機能が、Pro Edition では Edit メニューに見当たりません。

Quartus Prime

Intel:Quartus® Prime 旧バージョンのタイミングレポートにデフォルトで生成されていた Datasheet Report は、どのように生成すれば良いですか?

Quartus Primeタイミング制約/解析

Intel:SystemVerilog の interface (modport) で記述したロジックを、Platform Designer の Component Editor で使用するとエラーが発生します。エラーの要因と対処方法を教えてください。 

Quartus Prime

Intel:インテル® Quartus® Prime Pro Edition 開発ソフトウェアを Windows 10 で使用する際、Platform Designer や System Console においてウィンドウの一部が文字化けしています。対処方法はありますか?

Quartus Prime

Intel:インテル® Quartus® Prime Pro Edition 開発ソフトウェアにおいて 対応するすべてのデバイスファミリーをインストールしましたが、デバイスファミリーの選択画面に インテル® Cyclone® 10 GX FPGA しか表示されません。

AgilexArriaQuartus PrimeStratix

Intel:Quartus® Prime Pro Edition 22.2 において Install devices で .qdz を追加インストールすると、Warning が発生してインストールができません。

Quartus Prime

Intel:Questa* - Intel® FPGA Edition を起動するとエラーが発生します。Unable to checkout a license. Make sure your license file environment variables is set correctly and then run 'lmutil lmdiag' to diagnose the problem.

シミュレーション

Intel:レジスターのファンアウト数を分割し、1つのレジスター当たりのファンアウト数を低減させるオプションを教えてください。

Quartus Prime

Intel:Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details

Quartus Prime

Intel:同期クリアー付きフリップフロップを実装すると、組み合わせロジックで実装されます。sclr ポートを使用するにはどうすればよいですか?

CycloneQuartus Prime

Intel:Platform Designer において、ユーザーが作成した IP のリセット極性と IP Catalog に用意されている既存 IP のリセット極性が異なる場合は、ユーザー側で調整が必要でしょうか?

CycloneMAXQuartus Primeプラットフォーム・デザイナー

Intel:自社開発 IP の HDL をサードパーティー・ツールにより IEEE1735 方式で暗号化します。 その際に必要な Quartus Prime Pro Edition 向けの公開暗号化キーを教えてください。

Quartus Prime

Intel:quartus_cpf コマンド (Convert Programming Files) において、Memory Map File (*.map) を生成させる方法を教えてください。

Quartus Primeコンフィグレーション/プログラミング

Intel:メモリーを推論させるために配列で記述した HDL コードを MAX® 10 FPGA 向けにコンパイルしたら、メモリーブロックではなくロジック・エレメントに配置されました。

MAXQuartus Prime

Intel:MAX® 10 FPGA シングル電源デバイス の Modular ADC core Intel FPGA IP で ADC Voltage Reference を Internal Referece で使用した場合 3.0V と 3.3V を選択できますが、どちらを選択するか任意で決定できますか?

IPMAXQuartus Prime

Intel:External Memory Interface IP コアのパラメーター設定において、Mem Timing タブの Speed Bin のリスト中に 使用するメモリーに該当するパラメーターがない場合はどうすればよいですか?

IPQuartus Prime外部メモリー

Intel:Platform Designer 上で 2 つの FRAM のアドレスやデータを共通化することはできますか?

Quartus Prime

Intel:Error(18101): An external memory interface or PHYLite IP core reference clock fed by a cascaded PLL. Connect the external memory interface or PHYLite IP core reference clock to an input buffer

AgilexArriaCycloneIPQuartus PrimeStratixクロック/PLL

Intel:fatal error: altera_msgdma.h: No such file or directory ins_tse_mac.h /BUP_APP_bsp/drivers/inc/iniche line 34 C/C++ Problem

Quartus Prime

Intel: ALTPLL のロケーションを手動で指定する方法を教えてください。

CycloneMAXQuartus Primeクロック/PLL