Intel:Questa* - Intel® FPGA Edition を NativeLink シミュレーションで起動しようとすると missing". Check the NativeLink log file が発生します。

Quartus Primeシミュレーション

Intel:T ガードキーで FIXED ライセンスを使用しています。Questa* - Intel® FPGA Edition または ModelSim* - Intel® FPGA Edition を起動するとエラーになります。

シミュレーション

Microchip FPGA: 「ARM Cortex-M1 プロセッサ・ソフトIPコア」を実装した場合、ModelSim MEでブート・シーケンスのシミュレーションは可能ですか?

IPLibero SoCPolarFire

Intel:ModelSim* - Intel® FPGA Edition で使用するエディタ―を外部エディタ―に変更する方法を教えてください。

シミュレーション

Intel:ModelSim® などのシミュレーターでシミュレーションをおこなうとき、FPGA 内蔵の Pull-Up を使用している信号をどのように記述すれば良いですか?

シミュレーション

Intel:ModelSim* - Intel® FPGA Edition の内蔵エディターで日本語を表示させる方法を教えてください。

シミュレーション

Intel:ModelSim®- Intel® FPGA Edition の Wave ウィンドウに表示される信号名を、フルパスではなく短い信号名だけにするにはどうすれば良いですか?

シミュレーション

Intel:MAX® 10 で On-Chip Flash IP を使用した回路を ModelSim®-Intel® FPGA Edition で Nativelink シミュレーションすると Load でエラーになります。

MAXQuartus Primeシミュレーション

Intel:MAX® 10 FPGA の ADC を ModelSim® で RTL シミュレーションを実施した実績のあるデザインを、別のディレクトリに移植して同様の作業を行ったところ、エラー・メッセージになります。

IPMAXシミュレーション

Mentor:ModelSim® でシミュレーションで $fopen でファイル出力をするとファイル数が30ファイルに制限されます。回避策を教えてください。

シミュレーション

Mentor:ModelSim® の起動時にウインドウのレイアウトやサイズをカスタマイズすることはできますか?

シミュレーション

Mentor:ModelSim® のコンパイルのデフォルトを System Verilog にしたい

シミュレーション

Intel:ModelSim® や Questa® Sim のバージョンが以前は 10.6、10.7 等の記載でしたが、2019年から 2019.1、2020.1 等になっています。 2019.1 リリース後も 10.7f 等、10.7 のバージョンもリリースが続いているようですが、これらは何が違うのですか?

シミュレーション

Intel:ModelSim® などの EDA シミュレーターで VCD を生成するためのスクリプトを Quartus® Prime に生成させる "Generate Value Change Dump file script" オプションが、Pro Edition にはありません。設定する方法を教えてください。

Quartus Prime

Intel:インテル® HLS コンパイラーで生成した IP を Platform Designer システムに追加し、シミュレーションモデル(VHDL)を生成しました。 ModelSim でシミュレーションすると、IP から不定値が出力されてしまいます。

HLSQuartus Primeシミュレーションプラットフォーム・デザイナー

Intel:ALTCLKCTRL IP のシミュレーション・モデルを VHDL で生成して ModelSim でコンパイルすると、エラーになります。

IPQuartus Primeシミュレーション

Intel:ModelSim® - Intel® FPGA Edition をノードロックライセンスで使用しているマシンに、Windows のリモートデスクトップ経由でアクセスしてシミュレーションを実行すると、ライセンスエラーになります。

シミュレーション

Intel:ModelSim® で Wave ウィンドウに観測したい信号を追加していくとシミュレーション速度が遅くなり、Wave ウィンドウから削除しても改善されません。

シミュレーション

Intel:AXI BFM Altera Edition のシミュレーションで Questa Sim で Load 時にエラーになります。

シミュレーション

Intel:ModelSim® - Intel® FPGA Edition を使った Nativelink シミュレーション環境での検証時に DCFIFO のローディングでエラーが発生します。

シミュレーション

Intel:AXI BFM (Bus Function Model) を組み込んだデザインを ModelSim® - Intel® FPGA Edition でコンパイルするとライセンス・エラーになります。なぜですか?

シミュレーション

Intel:AXI BFM (Bus Function Model) を組み込んだデザインを ModelSim® - Intel® FPGA Edition でコンパイルすると、"libaxi_IN_SystemVerilog_MTI_full.dll" が存在しないというエラーが出てしまいます。

シミュレーション

Intel:MAX® 10 を対象にしたデザインの .vo ファイルを ModelSim® - Intel FPGA Edition でシミュレーションした際に fiftyfivenm_lcell_comb の信号をモニタできません。

MAXシミュレーション

Intel:ModelSim® のプロジェクト全体で SystemVerilog の 'define 定数を正しく認識させるにはどうしたら良いですか?

シミュレーション

Intel:Cyclone® 10 GX で Altera GPIO IP(Verilog で生成)を ModelSim® でシミュレーションすると、vsim-3033 のエラーが発生します。

Cycloneシミュレーション

Intel:ModelSim® の Wave Editor 機能にて生成したテストベンチ・ファイルのクロックがトグルしません。

シミュレーション

Intel:ModelSim® などでシミュレーションを行う時、基板上で Pull-Down している入力信号をテストベンチでどのように記述すれば良いですか?

シミュレーション

Intel:ModelSim® の transcript に表示されるメッセージの最初の方が消えてしまいます。

シミュレーション

Intel:ModelSim® でバッチ・モード(GUI を起動しない)でシミュレーション実行する方法はありますか?

シミュレーション

Intel:ModelSim® の波形ウィンドウ(wave window)で表示波形の色を変更する方法を教えてください。

シミュレーション